Welcome![Sign In][Sign Up]
Location:
Search - I2C VHDL

Search list

[VHDL-FPGA-VerilogVHDL 通过I2C协议高速控制E2PROM

Description: 高速:300M峰值 代码有中文注释,很容易理解
Platform: | Size: 854936 | Author: walter.bell@163.com | Hits:

[VHDL-FPGA-Verilogi2c_cores

Description: IIC总线协议,VHDL语言编写,可以直接使用-IIC bus protocol, VHDL language can be used directly
Platform: | Size: 20480 | Author: 李无志 | Hits:

[VHDL-FPGA-Verilogddr_sdram_controller_vhdl

Description: ddr_sdram控制器的vhdl代码,里面的地址和数据长度可配置,能满足不同用户的需要.-ddr_sdram controller vhdl code, which addresses and the data length can be configured, meet the needs of different users.
Platform: | Size: 13312 | Author: hxwf801 | Hits:

[VHDL-FPGA-Verilogi2c_master_bit_ctrl0002

Description: 基于VHDL的I2C程序0002,很不错的论文及程序,,大家快下啊-based on the I2C procedures VHDL 0002, a very good paper and procedures, we quickly under ah
Platform: | Size: 4096 | Author: cai | Hits:

[VHDL-FPGA-Verilogi2c_master_byte_ctrl0003

Description: 基于VHDL的I2C程序0003,很不错的论文及程序,,大家快下啊-based on the I2C procedures VHDL 0003, a very good paper and procedures, we quickly under ah
Platform: | Size: 3072 | Author: cai | Hits:

[VHDL-FPGA-Verilogtst_ds162100005

Description: 基于VHDL的I2C程序0005,很不错的论文及程序,,大家快下啊-based on the I2C procedures VHDL 0005, a very good paper and procedures, we quickly under ah
Platform: | Size: 2048 | Author: cai | Hits:

[VHDL-FPGA-VerilogVHDL_Development_Board_Sources

Description: 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development of the plate diagram, You hope to be a good help! which states : eight priority encoder, multipliers, multi-path selectors, BCD binary switch, adder, subtraction device, the simple state machine, four comparators, seven of the digital control, i2c bus, lcd LCD allocated code switches, serial port, the buzzer sounded, matrix keyboards, Bomadeng, traffic lights, Digital Clock.
Platform: | Size: 4642816 | Author: Jawen | Hits:

[VHDL-FPGA-VerilogCpldandEepromI2c

Description: verilog 编写的I2c协议程序,用于cpld读写EEPROM-verilog I2c agreement prepared by the procedures for cpld writable EEPROM
Platform: | Size: 447488 | Author: 丁明 | Hits:

[VHDL-FPGA-VerilogVerilog_Development_Board_Sources

Description: 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code quite welcome, Now she will also be Verilog source contribution to everyone : eight priority encoder, multipliers, Multi-channel selector, binary to BCD, adder, subtraction device, the simple state machine, four comparators, 7 of the digital control, i2c bus, lcd LCD allocated code switches, serial port, the buzzer sounded, matrix keyboards, Bomadeng. Traffic lights, digital clock
Platform: | Size: 3151872 | Author: Jawen | Hits:

[File Formatdfr

Description: 基于VHDL的I2C总线控制核设计与实现.-based on VHDL I2C bus control nuclear Design and Implementation.
Platform: | Size: 435200 | Author: 蓉蓉 | Hits:

[Multimedia DevelopVBuffer_1c6

Description: 视频采集并锁存到SDRAM中的完整代码,运行环境为QII,VHDL与标准参数宏模块调用混合设计 是学习视频采集的很好的参考-Video Capture SDRAM and latches to the integrity code, the operating environment for QII. VHDL standard parameter-called hybrid module is designed to study the Video Capture good reference
Platform: | Size: 4133888 | Author: 刘留 | Hits:

[VHDL-FPGA-Verilogwishbone2avalone

Description: 由avalen总线转接i2c总线的vhdl程序 可应用于nios嵌入式系统-By avalen bus adapter i2c bus VHDL program can be applied to Nios Embedded Systems
Platform: | Size: 427008 | Author: yeyoushi | Hits:

[Software Engineeringi2c_basic

Description: 下面是i2c的vhdl程序,需要的快来吧,哈哈 哈哈 -The following is the VHDL i2c procedures need Come now,哈哈哈哈
Platform: | Size: 1047552 | Author: | Hits:

[VHDL-FPGA-VerilogVideoCap_RCE02

Description: 程序实现的功能是通过I2C配置SAA7113芯片,然后通过逻辑分析仪器查看芯片的输出数据 可以通过视频口输出视频 redlogic的程序-Realize the function of the procedure through the I2C configuration SAA7113 chip, and then through the logic of analytical instruments to view the output data chip can output video through the video I redlogic procedures
Platform: | Size: 166912 | Author: skyy | Hits:

[SCMspdif_interface

Description: 为提高8051系列单片机I2C总线的工作效率,提高整机工作性能,根据I2C总线协议设计了8051单片机的I2C接口电路。-To enhance the 8051 Series Single-chip I2C bus efficiency, improve machine performance, in accordance with I2C bus protocol designed 8051 the I2C interface circuit.
Platform: | Size: 1425408 | Author: laomo | Hits:

[VHDL-FPGA-VerilogI2C_design_by_VHDL

Description: 本源码是I2C接口VHDL的一个基本设计方案
Platform: | Size: 38912 | Author: leixiaoqi | Hits:

[VHDL-FPGA-VerilogUP3_RTC_CLOCK

Description: 在UP3开发板上已经验证过的VHDL代码。精确到十分之一秒,具有闹钟,整点报时,时间可重新设置等功能,在LCD1602上显示。绝对推荐,比网上其他类似代码功能要全而且经过验证。最关键的是该代码是直接通过I2C总线来获取UP3开发板上的实时时钟芯片的时间的,当然也可以通过I2C对时钟芯片进行设置.-In the UP3 development board has been verified VHDL code. Accurate to one-tenth of seconds, with the alarm clock, the whole point timekeeping, time and other functions can be re-instated in the LCD1602 display. Absolutely recommended online than other similar features to the entire code and verified. Most crucial point is that the code is directly through the I2C bus to obtain the UP3 development board real time clock chip time, of course, can also I2C clock chip on the set.
Platform: | Size: 1367040 | Author: kehan | Hits:

[VHDL-FPGA-Verilogi2c.tar

Description: iic的代码,是工程文件来的,是XILINX的,来自开源的-IIC
Platform: | Size: 699392 | Author: | Hits:

[VHDL-FPGA-Verilog35738611i2cmaster

Description: FPGA设计的I2C总线控制器的MASTER端的程序-FPGA Design of I2C Bus Controller MASTER-side procedures
Platform: | Size: 4096 | Author: | Hits:

[Windows Developi2c

Description: 实现fpga芯片控制pcf8591进行ad转换的功能。希望能给大家带来帮助-Realize pcf8591 FPGA chip to control the function of ad conversions. I hope everyone can help
Platform: | Size: 196608 | Author: 郑玮 | Hits:
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 18 »

CodeBus www.codebus.net